Verilog ams wreal jobs

Filter

My recent searches
Filter by:
Budget
to
to
to
Type
Skills
Languages
    Job State
    2,000 verilog ams wreal jobs found, pricing in INR

    Greetings, We are assembling a dynamic team and currently seeking 4-5 proficient Electrical Engineers to join us for a long-term collaboration. This opportunity is ideal for individuals with expertise in electronics, power systems, and communication systems. Key Requirements: - Strong command over MATLAB for data analysis, simulation, and modeling. - Proficiency in VHDL and Verilog for hardware description and digital circuit design. - Experience with multisim or similar simulation software for circuit analysis and design verification. This collaboration offers an exciting chance to work on diverse projects spanning electronics, power systems, and communication systems. We are committed to fostering a collaborative environment that encourages innovation and professional growth. ...

    ₹918 / hr (Avg Bid)
    ₹918 / hr Avg Bid
    12 bids

    The goal of this project is using Vivado tools to enable a hardware implementation on an FPGA board. The key requirement from the FPGA board is high computational speed. Therefore, proficiency in Verilog language is preferred as I intend to implement the NTT algorithm. I am looking for a developer who is experienced with FPGA boards and Vivado tools. The chosen freelancer should also have the ability to maximize computing capabilities of the board for the said implementation.

    ₹26955 (Avg Bid)
    ₹26955 Avg Bid
    4 bids

    I need verilog code,testbench and simulation for this duty : Design a vector processing system that performs dot product of two vectors kept in the memory. The length of the vector is given as an input and at each clock cycle one element from each vector is multiplied and added. At the end of the processing a valid signal will be raised along with the result. Elements of the vectors are 8-bit unsigned vectors.

    ₹10598 (Avg Bid)
    ₹10598 Avg Bid
    12 bids

    I need a talented RTL designer, proficient in Verilog, to carry out an NTT Implementation project focused on dataflow modeling. Key Requirements: - Expertise in Verilog, with a deep understanding and application of dataflow modeling - Prior experience in RTL design and synthesis - The main goal for this task is to achieve optimization of the design using your Verilog expertise - Attention to detail, punctuality, and efficient communication skills are a must This project offers an opportunity to work with an interesting model and explore optimized NTT implementation. Your contribution to this project will be influential in achieving an optimized design.

    ₹13936 (Avg Bid)
    ₹13936 Avg Bid
    3 bids

    Im working on a c++ image processing project , and i need to convert my C++ code to Verilog using HLS vitis , then implement it to run on Ultra96v2 Xilinx FPGA board .

    ₹40724 (Avg Bid)
    ₹40724 Avg Bid
    17 bids

    I am seeking a skilled graphic designer to conceptualize a text-based / image-based logo for my company that emphasizes a professional appeal. I own a supply chain company. AMS Global Logistics or AMSGL. This company is global in nature and supplier services of the following: Import / Export Customs Clearance Container Transport Warehousing Key requirements: - 2 set of logos - white background and black background - Use primarily green color for the logo, signifying freshness and growth - The logo should project a professional image, suitable for a corporate environment - I prefer a text-based logo / Imaged-Based or a combination of both - creativity in typesetting, fonts or typography will be appreciated To succeed in this, you should have prior experience in logo design, color...

    ₹27456 (Avg Bid)
    Featured Guaranteed Sealed Top Contest
    ₹27456
    1532 entries

    I'm in need of skilled programmers to develop interfaces for my Place and Route EDA flows. The ideal candidate will have experience in the following: - Proficiency in Python and/or C++ - Familiarity with VHDL, Verilog, and SystemVerilog - Experience in file input generation - Strong file parsing capabilities - Ability to manage EDA flows using TCL The interfaces need to be able to handle the entire EDA flow, from file input generation to error reporting. Experience in developing similar interfaces will be a big advantage. Please include relevant work samples in your bid.

    ₹2170 / hr (Avg Bid)
    ₹2170 / hr Avg Bid
    29 bids
    10000 Ended

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    ₹9931 (Avg Bid)
    ₹9931 Avg Bid
    1 bids

    I'm seeking an experienced trainer for Spyglass tool, with a concentration on Lint and CDC (Clock Domain Crossing). As beginners in Spyglass and proficient in Verilog, we primarily aim to identify and fix coding errors through this training. Ideal Skills and Experience: - Strong knowledge of Lint and CDC in Spyglass tool - Demonstrated experience in coding and debugging in Verilog - Excellent training skills - Ability to create and simplify complex concepts for beginners.

    ₹918 / hr (Avg Bid)
    ₹918 / hr Avg Bid
    5 bids

    ...programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrate a clear understanding of Verilog and V...

    ₹15021 (Avg Bid)
    ₹15021 Avg Bid
    12 bids

    ...programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrate a clear understanding of Verilog and V...

    ₹8095 (Avg Bid)
    ₹8095 Avg Bid
    4 bids

    I'm seeking an expert in website design to create a platform that caters to the needs of an NFP Industry Associatio...in website design to create a platform that caters to the needs of an NFP Industry Association. The primary function will be e-commerce, with the following key attributes: - Integration potential with 3rd-party Association Management Systems (AMS), particularly Membership Works, while leaving room for options that are still TBD. - Sound grasp of Membership management functionality, this is crucial for our system. - Integration of an Event calendar and a Resource library. The ideal person for this project should have proven experience in AMS integration, e-commerce web design, and in implementing the requested features. Familiarity with NFP asso...

    ₹62839 (Avg Bid)
    ₹62839 Avg Bid
    134 bids

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    ₹1419 / hr (Avg Bid)
    ₹1419 / hr Avg Bid
    11 bids

    Using Bambu AMS printer and am looking to have a football field built. I need a field with 2 goal posts and the lines. In bambu slicer i can color blocks so goal is to click lines white then each grass segment and end zones varyong shades of green. File will be printed then placed inside stadium as a finished product Just like attached photo but with the yard lines drawn so they can be "painted" in bambu studio. More details: Football field. Not the stadium just the field. What dimensions do you want for the football field model? Standard dimensions (120 yards x 53.3 yards) What level of detail do you require for the field, such as textures and grass? Basic detail.

    ₹11016 (Avg Bid)
    ₹11016 Avg Bid
    46 bids

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expertise in optimising AI models for real-time FPGA implementation...

    ₹13853 (Avg Bid)
    ₹13853 Avg Bid
    7 bids

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    ₹18109 (Avg Bid)
    ₹18109 Avg Bid
    10 bids

    Looking for someone to create designs for mining workshop teams. photos attached of jumpers designed last year, wanting same type of designs but for different workshops, [DIGGERS, ANCILLARY, TRUCKS, DRILLS, TYRE BAY, SUPPORT, FAB/WELD SHOP AND AMS] Large designs on rear of jumpers/shirts and small logo for front .

    ₹2003 (Avg Bid)
    ₹2003 Avg Bid
    21 bids

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the detection text on the video feed...Video Streaming: The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multipixel zoom.v i...

    ₹5007 (Avg Bid)
    ₹5007 Avg Bid
    2 bids

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    ₹3705 (Avg Bid)
    ₹3705 Avg Bid
    5 bids

    My project requires the efficient application of Gaussian filtering in Verilog specifically for enhancing image details. The image type for this task is RGB, and the intended result should lead to clear, detailed images showcasing the potential of Gaussian filters. Key requirements include: - Applying Gaussian filtering to provide image enhancement - Working specifically with RGB images - Delivery of processed images in JPEG format Given the technical nature of this project, proficiency in Verilog and image processing is crucial. A deep understanding of Gaussian filtering algorithms is also necessary. Experience with image manipulation software would be a bonus. This project is ideal for freelancers who are detail-oriented and are adept at transforming complex requirements...

    ₹7143 (Avg Bid)
    ₹7143 Avg Bid
    4 bids

    I'm seeking an experienced and detail-oriented developer to create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must...Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong emphasis on quality over speed. However, I am eager to commence with the right candidate a...

    ₹45398 (Avg Bid)
    ₹45398 Avg Bid
    29 bids

    For this project, I need a skilled Verilog programmer with FPGA implementation experience. The key task is to encode a 4x4 binary (black and white) image into an 8x8 image using least significant bit replacement. Key Responsibilities: - Implementing a least significant bit replacement algorithm. - Delivering clean and efficient Verilog code. - Ensuring compatibility with FPGA hardware. Required Skills and Experience: - Proficiency in Verilog code - Understanding of LSB replacement - Experience with FPGA implementation - Working knowledge of image processing, specifically with binary images.

    ₹1168 (Avg Bid)
    ₹1168 Avg Bid
    5 bids

    I am looking for a skilled Verilog coder with experience in advanced digital circuit design and implementation. Tasks will involve designing and implementing complex circuits, specifically those involving CPUs or intricate state machines. Key Responsibilities: - Design and implement advanced digital circuits - Test and debug created designs - Maintain documentation of design process and circuit function Skills & Experience: - Expertise in Verilog coding - Experience with complex digital circuit design and implementation - Familiarity with CPUs and complex state machines - Proficiency in using Xilinx Vivado for running Verilog simulations Please ensure you have this experience before placing a bid on this project.

    ₹10932 (Avg Bid)
    ₹10932 Avg Bid
    8 bids

    I'm in need of an experienced and creative 3D modeller to design and create a killdozer inspired keychain model as well as a larger, more detailed version. - The keychain should be small, between 2-3 inches full ams in 1 go no assembly. - I'm looking for medium complexity in the larger model, with locking pieces together and minial supports so as no glue needed to assemble - Both designs should be printable with minimal support and feature three colors: silver, yellow and black. There are many publicly available models if you type in killdozer but i need them edited/new one made to be able to mass produce, minimal supports, no super thin wall parts. I dont mind gluing if very minimal if you cant find a way to interlock parts The ideal freelancer for this task sho...

    ₹7761 (Avg Bid)
    ₹7761 Avg Bid
    23 bids

    Completing an intermediate-level circuit simulation is on the top of my agenda, and time is of the essence. Key Requirements: - Generate a simulation circuit using either Verilog or VHDL. - The complexity level should be intermediate, meaning that it should include components such as adders, decoders, and multiplexers. Ideal Candidate: An experienced freelancer with a strong background in circuitry and simulation languages such as Verilog or VHDL. Quick response and comprehension of task requirements are paramount due to the urgency of the project. Remember, the successful completion of this project is deemed urgent. Therefore, a prompt response and start are appreciated.

    ₹7678 (Avg Bid)
    ₹7678 Avg Bid
    22 bids

    I'm in need of an individual skilled in Verilog who can help me achieve a specific task. - Task: Your main responsibility would be writing Verilog code for a simple module implementation. This does not involve complex system level code designs or CPU architecture. - Objective: The primary objective of the module is to model a specific digital logic circuit. The project does not require interaction with other modules or utilization of specific hardware components. The ideal candidate would possess: - Solid experience in Verilog coding, - Expertise in digital circuits, - Strong understanding of digital logic circuits, - A meticulous approach to ensure accuracy in modeling the required digital logic circuit. If you are passionate about Verilog and love c...

    ₹9013 (Avg Bid)
    ₹9013 Avg Bid
    13 bids

    I'm currently working on a robotics mechatronics project and need a PCB designer specializing in KiCad software. You'll primarily be assisting me with: - Designing a PCB to provide a power supply for an existing general-purpose board based on the STM32H7 series. - Designing a PCB to read 4 AMS AS5047B rotary position sensors alongside 4 limit switches - Designing a PCB to control 4 BLDC motors with PWM Channel with Direction pins - Extracting an I2C port from the assembled PCB Your prior experience in these tasks would be a great advantage. A deep understanding of KiCad design software and STM32H7 series microcontrollers is a must for this project. Assist me in making my robotics mechatronics system dream come true!

    ₹42039 (Avg Bid)
    ₹42039 Avg Bid
    46 bids

    ...achieving a specific task. I already have a code for core RISC-V in system Verilog and need help running it in my Linux based virtual machine. The final objective includes enabling a basic load counter and comprehending the code thoroughly. need to create a presentation for my Verilog project. For the first task, I need to count the number of instructions entering the pipeline after a mispredicted branch, which is important for security. I need to run a test code to measure performance and count the flushed instructions. Can you help with this in Verilog? We can work together on it and complete the presentation.? To achieve the listed tasks, the freelancer should possess: - Expert knowledge and experience in working with Verilog, - Proficiency in executi...

    ₹918 / hr (Avg Bid)
    ₹918 / hr Avg Bid
    6 bids

    In this project we will be implementing a control system using the Lattice iCE FPGA. The task at hand involves converting a schematic for a Synchronous Data Link Control (SDLC) data stream to an SPI Master data stream converter to Verilog or VHDL and then verifying the design through simulation. And finally creating the file that will be used to program the target part in production. The ideal freelancer for this job is proficient in working with FPGAs, preferably with a strong background in the Lattice iCE FPGA. I’m looking for someone adept in schematic to HDL conversion. Experience in working with SDLC data will serve as a plus. Please ensure that your experience and skills include: - FPGA development, specifically with the Lattice iCE. - Expertise in schematic to HDL ...

    ₹4339 / hr (Avg Bid)
    ₹4339 / hr Avg Bid
    7 bids

    I'm currently in search of an expert in electronics, Specifically FPGA's and Verilog who can assist in creating a 32 channel logic analyzer. The analyzer's primary role will be to facilitate the debugging of digital circuits, analyzing serial communications, and examining micro-controller signals and digital protocols. Key Responsibilities: - Design and create an analyzer able to decipher multiple digital protocols including CAN, SPI, I2C, UART, RS422, RS485, i2C 1-Wire, and SIM devices. - Equip the analyzer with a unique functionality to understand and interpret data in custom HEX, Bianry and ASCII formats. Ideal Experience and Skills: - Proficient in digital protocols and encoding. - Extensive experience in electronics, especially in creating and debugging logic ...

    ₹48652 (Avg Bid)
    ₹48652 Avg Bid
    32 bids

    Its about vintage videogames I have a project that make emulation of cartridges. Each original Cartridge have circuits with ASIC customs called " mappers ". Theses mappers circuits are not available for sale, because was made in 90 years. Some hackers rebuild functionality of Asics throught verilog, and implemented in a single cartdridge with ALL mappers inside. In Github are The opensource project , and ALL mappers writed in verilog are available separated. My Idea is take one a one mapper and generate a output file and burn in individual hardware , like Xillinks XC9572 or XC95144 because The original project use hard weight hardware,like a

    ₹43478 (Avg Bid)
    ₹43478 Avg Bid
    12 bids

    Hi, I need someone really experienced in FPGA, DSP, and specifically IFF signlas. I need to create an algorithim to detecet different modes of IFF signals and classify them. then display the data of the signals. I will aslo need someone to finalize the verilog code, help me with testing, debugging of my project, it will be a long time agreement not just a task to be done. I am hoping to collaborate with a diligent engineer who accurately interprets given instructions and is capable of delivering quality work. I am looking forward to your proposals.

    ₹50154 (Avg Bid)
    ₹50154 Avg Bid
    14 bids

    I need someone proficient in FPGA programming and matrix manipulations to develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing proc...develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing processes for small-sized matrices • Ability to handle medium-sized matrices • Building the necessary verilog code and TB to facilitate and optimize the matrix multiplication on the PYNQ boards Here are the critical skills and experience needed for the job: • Familiarity with PYNQ FPGA programming • Experience working with PYNQ Boards • Deep understanding of matrix operations • Proficient...

    ₹3255 (Avg Bid)
    ₹3255 Avg Bid
    2 bids

    Bundle of 4 entry level Logic Design outputs --->Verilog , 4-bit wide 2:1 MUX ,Mealy machine , and a little more

    ₹10932 (Avg Bid)
    ₹10932 Avg Bid
    25 bids

    As an FPGA expert, my project demands crucial implementation and testing of FPGA-based systems, along with adept ...transferred and received properly coz its serial data. if the data received equal to certain vaule ( the value i serialized before sending ) then LED is on, or use a method to display the received data. I am also forwarding 2 clocks that i need to receive at the same frequency they were set in the input. Critical Skills - Proficiency in Verilog - Prevailing experience with Xilinx FPGAs Ideal freelancers will possess substantial experience using Verilog for FPGA programming and have a strong background in Xilinx products. Solid debugging skills and the ability to devise efficient tests for FPGA-based systems are a must. ALL CODES ARE DONE, I JUST NEED SOMEONE...

    ₹4757 (Avg Bid)
    ₹4757 Avg Bid
    7 bids

    I’m seeking a talented and experienced freelancer to add colors to STL files for a project I am working on. The project is of the Space Battleship Yamato 2199. I am running a Bambu X1 Carbon with an AMS and would like to print the model in color rather than paint it. **Requirements:** - Proficiency in 3D modeling software capable of editing and colorizing STL files. - A good eye for color schemes and detailed attention to applying colors that enhance the model's aesthetics. **Job Scope:** - Apply a variety of colors to all parts of multiple STL files. The chosen candidate will need to ensure that the applied colors are vibrant, consistent, and accurately reflect my vision for the finished models. **Ideal Skills and Experience:** - Demonstrated experience in STL file ...

    ₹8262 (Avg Bid)
    ₹8262 Avg Bid
    41 bids

    ...Defining the specifications and performance targets for the graphics card, considering factors such as core count, memory bandwidth, and power efficiency. Designing and modeling the GPU architecture using computer-aided design (CAD) software, incorporating advanced features for rendering, compute, and artificial intelligence. Implementing the design using hardware description languages (HDLs) such as Verilog or VHDL, and simulating the functionality using specialized tools. Conducting rigorous testing, validation, and optimization to ensure the graphics card meets performance, reliability, and compatibility standards. Iterating on the design based on feedback, performance analysis, and emerging technologies. **Power Efficiency:** - My priority is an energy-saving design. The cha...

    ₹4707085 (Avg Bid)
    ₹4707085 Avg Bid
    9 bids

    ...project. - Familiarity with high-speed interface protocols and their integration into FPGA designs. **Ideal Skills and Experience:** - Strong background in electrical engineering or computer science, with a focus on hardware design. - Prior projects or experience in FPGA-based design, especially those involving DSP or video processing. - Proficient in C/C++ for algorithm development and HDL (VHDL/Verilog) for hardware description. - Knowledge of optimization techniques for power efficiency and performance maximization in FPGA designs. - Ability to work with simulation tools and perform thorough validation and testing of the designed hardware. My project calls for an innovative and technically skilled freelancer who can navigate the complexities of advanced FPGA design. If you h...

    ₹1252 / hr (Avg Bid)
    ₹1252 / hr Avg Bid
    9 bids

    I am seeking a talented programmer to develop an encryption algorithm using Verilog language, which will be implemented using FPGA in Xilinx. Key Requirements: - Comprehensive understanding of Verilog programming and encryption algorithms - Extensive experience in FPGA implementation - Proficiency in Xilinx The ideal candidate should be capable of creating an efficient and secure encryption system from scratch. Your algorithm will be tested for security, efficiency, and performance during Evaluation. Please include examples of relevant previous work in your bid. Thank you.

    ₹10260 (Avg Bid)
    ₹10260 Avg Bid
    4 bids

    ...seeking a highly skilled freelancer with expertise in Verilog for an advanced system design and optimization project targeting FPGA (Field-Programmable Gate Array). This project demands someone who can navigate complex Verilog coding with ease and contribute to significant system improvements. **Core Requirements**: - Profound knowledge in Verilog coding, specifically for FPGA platforms. - Experience in advanced system design and optimization. - Capability to work through complex modules and processes to deliver optimized solutions. **Ideal Skills and Experience**: - Strong background in FPGA-based design and development. - Proficient in writing, analyzing, and optimizing Verilog code. - Familiarity with debugging and testing Verilog code in a real F...

    ₹7177 (Avg Bid)
    ₹7177 Avg Bid
    4 bids

    I'm seeking a talented freelancer with expertise in FPGA development to assist in implementing high-level synthesis (HLS) algorithms on the Vitis platform. I have a solid background in HLS but need guidance with Vitis integration. Requirements: • Experience with Vitis platform and HLS technology • Proficiency in Verilog programming • Past work with image, data encryption, or signal processing algorithms. Ideal Skills: • FPGA design and development • HLS algorithm optimization for performance • Familiarity with Xilinx development tools • Ability to write clean, maintainable code Goals: • To effectively integrate HLS algorithms into a Vitis workflow • To optimize algorithm performance on an FPGA architecture I look forward to colla...

    ₹27122 (Avg Bid)
    ₹27122 Avg Bid
    3 bids

    I require an experienced freelancer conversant in Verilog and familiar with Vivado tools to help expedite my digital circuit project. Efficiency and expertise are paramount to meet my project milestones. Key Tasks: - Synthesize and implement Verilog code - Optimize digital circuit designs using Vivado Skills Needed: - Proficient in Verilog - Proficient with Xilinx Vivado Suite - Strong in circuit synthesis and implementation - Ability to write clean, maintainable code - Experience with digital circuit design and simulation - Solid understanding of FPGA workflows Ideal Experience: - Previous successful FPGA projects - Proven track record with Vivado IDE - Strong debugging skills If you are a detail-oriented problem solver with the skills mentioned above and have a h...

    ₹7678 (Avg Bid)
    ₹7678 Avg Bid
    9 bids

    I'm seeking a highly skilled Verilog engineer to design and implement an AES encryption and decryption system. This project has been initiated with the purpose of ensuring data security and as such, the successful applicant must be experienced in the field of cryptography. Proficiency in Verilog and a clear knowledge of encryption methods, particularly AES, are crucial for the success of this project. In your application, kindly provide: - Details of your past experiences related to Verilog - Any relevant project proposals you've worked on, showcasing your proficiency in developing AES systems. The deadline for completion of the project will be within one month. Please keep this timeframe in mind as you consider your application and potential schedule. I...

    ₹32595 (Avg Bid)
    ₹32595 Avg Bid
    8 bids

    ...entering data from work orders into CIEL. work orders. Reduce errors and delays. Automate all these tasks, while retaining the control and modification points necessary for day-to-day management. In a second phase, we would like to create a business application to improve the monitoring of our operations. A dashboard bringing together various information and functionalities tailored to our business. 6 AMS v1 project 4.1. Main requirement : Automation of BT data entry Ciel Gestion Commerciale Ideally, we would like the project to enable us to automate the main elements of the BT with direct communication communication with CIEL GESTION COMMERCIALE 20.3. We have chosen to reduce the amount of data to be transferred to speed up the development process and rapidly increase to rapidly...

    ₹210048 (Avg Bid)
    ₹210048 Avg Bid
    46 bids

    ...beneficial. Your role will potentially influence my new software marketing company's market positioning, making it a highly impactful and creative task. My new business name is called AesthSystem. The brand name "AesthSystem" implies a comprehensive marketing system designed for aesthetics clinics. To explain the brand name we should have the following strapline text: "Aesthetics Marketing System (AMS)" This text explains what AesthSystem means and we should also have like a icon in the brand name of some systems or something similar I have registered the domain The completed project I should have the following files for all my company brand and social marketing channels 1, Brand image in different sizes 2. social marketing image sizes. Instag...

    ₹10389 (Avg Bid)
    ₹10389 Avg Bid
    84 bids

    ...Implement 4x4 matrix and 2x2 kernel convolution. - Employ kogge stone adder for efficiency. - Integrate vedic multiplier for rapid multiplication. - Ensure system operates with integer value precision. - Target design is for an FPGA using Verilog or VHDL. **Ideal Skills** - Proficiency in FPGA programming. - Strong background in digital arithmetic circuits. - Experience with kogge stone adders and vedic multipliers. - Knowledge of image processing techniques. - Use of Shifting , multiplication and addition in performing 2d convolution - Fluent in Verilog or VHDL coding. - Ability to optimize for power, area, and speed. **Project Deliverables** - Optimized HDL code for the convolution system. - Synthesis and simulation results demonstrating performance. - Documentation ou...

    ₹19361 (Avg Bid)
    ₹19361 Avg Bid
    2 bids

    I'm seeking a proficient Verilog developer to implement a 2D convolution for the following image processing applications: edge detection and object recognition. • Core Requirements: - An extensive understanding of 2D Convolution and its application in edge detection and object recognition - Strong proficiency in Verilog programming language • Device specifications: - The 2D convolution algorithm needs to be coded specifically for an ASIC/FPGA device. Prior experience with designing for this platform is essential. This project demands precision, an in-depth understanding of image processing and excellent problem-solving skills. A proficiency in Verilog can significantly boost the effectiveness of the development process. Hence, expertise in ...

    ₹1511 - ₹12591
    ₹1511 - ₹12591
    0 bids

    ...an experienced Verilog developer with expertise in digital circuit design, creating testbenches, and debugging existing Verilog code. While I didn't specify any particular tasks, I encourage you to utilize your problem-solving skills to lead this project's technical aspects. To apply for this project, please include: 1. Past Work: Include examples of your previous projects, focusing on your ability to create and implement successful digital circuit designs. 2. Verilog Code Samples: Although I am interested in all aspects of your past work, I would particularly like to see examples of your work on testbench designs. Your ability to create effective and efficient testbenches will be crucial to this project. 3. Experience: Your track record and breadth of ...

    ₹1085 (Avg Bid)
    ₹1085 Avg Bid
    5 bids

    For my project, I am looking for a proficient Verilog programmer to simulate the behavior of a mixed circuit. This is a hub project . there will be 4 layer of slave input . the module needs to OR the all data and forward to another level of slave.

    ₹35133 (Avg Bid)
    ₹35133 Avg Bid
    10 bids

    I'm looking for an expert with an in-depth understanding of digital circuits and solid experience with Verilog to help me design a digital circuit. This project aims to create a I2S input (64FS) to a 20 bit serial data output module with separate left and right output channels according to AD1862 data input specification. Key tasks include: - Design Verilog code for specific functionalities - Proactively troubleshoot and rectify any issues during the design process The ideal candidate will have: - Excellent knowledge of Verilog and its applications - Understanding and experience in designing digital circuits - Proven problem-solving skills and attention to detail Please only bid if you have the relevant skills and experience. Thanks!

    ₹18443 (Avg Bid)
    ₹18443 Avg Bid
    11 bids